错误:(E112) 获取接口失败:端口未绑定 - SystemC

Error: (E112) get interface failed: port is not bound - SystemC

本文关键字:绑定 SystemC 取接口 E112 获取 错误 失败      更新时间:2023-10-16

我的目标是创建一个使用变矩器加减法的 ALU

阿鲁·

#include "systemc.h"       
SC_MODULE(alu){
sc_in<bool> op;
sc_in<sc_int<8> > a;
sc_inout<sc_int<8> > b;
sc_out<sc_int<8> > output;
void alu_method();  
SC_CTOR(alu) {
    SC_METHOD(alu_method);
    dont_initialize();
    sensitive << a,b,op;
    }
};

阿鲁.cpp

#include "alu.h"
void ALU::alu_method(){
if (op.read() == 0){
    //substract
    out.write(in.read() - in_bs.read());
}
else{
    //add
    out.write(in.read() + in_bs.read());
    }
}

桶移位.h

    #include <systemc.h>       
    void make_barrel();
    SC_MODULE(barrel_shift) {
    sc_in<bool> clk;
    sc_in<bool> enable;
    sc_in<bool> left_right;
    sc_in<sc_uint<3> > shift_amt;
    sc_in<sc_int<8> > din;
    sc_inout<sc_int<8> > dout;
void barrel_method();   
    SC_CTOR(barrel_shift) {
    SC_METHOD(barrel_method);
dont_initialize();
    sensitive << clk.pos(); //edge sensitive
    }
};

桶移位.cpp

    #include "barrelshift.h"
    void barrel_shift :: barrel_method(){
if(enable.read() == 1){
    if(left_right.read() == 0){ //shift left
                dout.write(din.read() << shift_amt.read()); 
    }else if(left_right.read() == 1){ // right shift
                dout.write(din.read() >> shift_amt.read());
    }
}
else 
        cout << "Not enabled "<<endl;
        dout <= din;    
}

sc_main.cpp

    #include <systemc.h>
    #include "alu.h"
    #include "barrelshift.h"
    int sc_main(int argc, char* argv[]){
sc_trace_file *tf; 
//Signals
sc_signal <bool> enable, op, l_r;
sc_signal <sc_int<8> > a, output,b, bin;
sc_signal < sc_uint<3> > shift_amt;
//Clock
sc_clock clk("clk",10,SC_PS,0.5);
alu myALU("myALU");
barrel_shift myShifter("myShifter");
myALU.a(a);
myALU.b(b);
myALU.output(output);
myALU.op(op);
myShifter.clk(clk);
myShifter.din(bin);
myShifter.enable(enable);
myShifter.left_right(l_r);
myShifter.shift_amt(shift_amt);
myShifter.dout(b);
tf = sc_create_vcd_trace_file("trace_file");
sc_trace(tf, clk, "clk");
sc_trace(tf, a, "a");
sc_trace(tf, bin, "BarrelShifter In");
sc_trace(tf, op, "op");
sc_trace(tf, shift_amt, "shift_amt");
sc_trace(tf, l_r, "left_right");
sc_trace(tf, enable, "enable");
sc_trace(tf, b, "b");
sc_trace(tf, output, "output");

sc_close_vcd_trace_file(tf);
cout << "The result from the ALU is: " << output.read();
}

构建它时没有错误。但是每当我尝试执行它时,我都会收到以下错误:

错误:(E112) 获取接口失败:端口未绑定:端口"myALU.port_0"(sc_in) 在文件中:sc_port.cpp:231

是什么原因造成的,我该如何解决?

错误消息

Error: (E112) get interface failed: port is not bound: port 'myALU.port_0' (sc_in)

表示端口myALU.port_0未绑定到信号。但是alu模块中的哪个端口对应于port_0

最好命名所有端口和信号(无论您使用哪种硬件描述语言),以使此类错误更容易诊断。

在构造函数中命名alu端口:

SC_CTOR(alu) :
    op("op"),
    a("a"),
    b("b"),
    output("output")
{
    // ...

我无法重现您看到的错误。我看到了此错误(在为所有端口和信号提供名称后):

Error: (E115) sc_signal<T> cannot have more than one driver:
 signal `signal_5' (sc_signal)
 first driver `myShifter.dout'  (sc_inout)
 second driver `myALU.b' (sc_inout)

我注意到您的代码中还有其他一些问题:

  • 您的代码无法编译,因为 alu_method() 中使用了错误的变量名称。
  • sc_start()sc_main()中没有调用。
  • alu()中存在无效的sensitive调用 - 应sensitive << a << b << op;

问题出在alu的敏感度列表上。 它应该是:

sensitive << a << b << op;

正如 @DarrylLawson 正确指出的那样,此错误消息指示端口myALU.port_0未绑定到信号(如果您在构造函数中为端口指定了一个名称,您将获得更清晰的名称)。

恕我直言,一个重要的细微差别是,这意味着端口在给出错误时未绑定到信号。 您可能有绑定它的代码,但这仅在详细说明过程中的某个时间点执行。 如果您尝试在此之前使用该端口(例如,在模块的构造函数内部),您仍然会收到此错误消息。 在这种情况下,错误将在时间 0 发生。