无法解析SystemC sc_signal_resolved

SystemC sc_signal_resolved could not be resolved

本文关键字:signal resolved sc SystemC      更新时间:2023-10-16

我正在使用SystemC和Ubuntu 14.04,我正在尝试为一个小型SystemC项目安装Eclipse。我参考了这里提供的自述文件和下面的教程。

问题是sc_signal_resolved没有被识别。我有一个语法错误,告诉我sc_signal_resolved无法解决。

下面是我的代码示例:
#include <systemc.h>
int sc_main(int argc, char ** argv) {
  sc_signal_resolved zero, one;
// in the rest of the code I will use the zero and one passing them to some gates.
return(0);
 }

为什么不被识别?例如,当识别sc_in<sc_logic>时。我已经核实了,文件是sysc/communication folder .

我怎么解决它?

尝试重新构建。我有同样的问题,重建后,它解决了。