实施 SystemC TLM 测试平台构建失败

Implementing SystemC TLM Testbench Build Fail

本文关键字:构建 失败 平台 测试 SystemC TLM 实施      更新时间:2023-10-16

我正在尝试为我使用基本simple_initiator_socketsimple_target_socket创建的加法器模块实现一个SystemC基本TLM测试平台。

目前构建失败,我无法诊断原因。

以下是三个主要模块的实现,即加法器、测试台和实例化两者并启动数据流的主模块。

main.cc

#include "systemc.h"
#include "tlm_utils/simple_initiator_socket.h"
#include "tlm_utils/simple_target_socket.h"
#include "tlm_utils/tlm_quantumkeeper.h"
using namespace sc_core;
using namespace sc_dt;
using namespace std;
#include "test_bench.h"
#include "adder.h"
SC_MODULE(Top)
{
test_bench  *tb;
adder       *ad;
sc_signal<bool> rst;
Top(sc_module_name name) :
rst("rst")
{
tb = new test_bench("test_bench");
ad = new adder("adder");
tb->socket.bind(ad->socket);
}
};
int sc_main(int argc, char *argv[])
{
Top *top = new Top("Top");

}

test_bench.cc

#define SC_INCLUDE_DYNAMIC_PROCESS
#include "tlm_utils/simple_initiator_socket.h"
#include "tlm_utils/simple_target_socket.h"
using namespace sc_core;
using namespace std;
using namespace sc_dt;
#include "test_bench.h"
#include <fstream>
#include <iostream>
test_bench::test_bench(sc_module_name name):
sc_module(name), socket("socket")
{
SC_THREAD(run_tests);
}
void test_bench::run_tests()
{
ifstream infile("../adder.golden.dat");
ofstream ofs;
ofs.open("../adder.dat", ofstream::out | ofstream::app);
while(infile >> data[0] >> data[1])
{   
tlm::tlm_generic_payload *trans = new tlm::tlm_generic_payload;
sc_time delay = sc_time(10, SC_NS);
trans->set_data_ptr((unsigned char*)data);
socket->b_transport(*trans, delay);
ofs << data[0] << data[1] << data[2];       
delete trans;
}
infile.close();
ofs.close();
printf ("Comparing against output data n");
if (system("diff -w sha1.dat sha1.golden.dat")) 
{
cout << "*******************************************" << endl;
cout << "FAIL: Output DOES NOT match the golden output" << endl;
cout << "*******************************************" << endl;
} 
else 
{
cout << "*******************************************" << endl;
cout << "PASS: The output matches the golden output!" << endl;
cout << "*******************************************" << endl;
}
}

adder.cc

#define SC_INCLUDE_DYNAMIC_PROCESS
#include "tlm_utils/simple_initiator_socket.h"
#include "tlm_utils/simple_target_socket.h"
using namespace sc_core;
using namespace std;
#include "adder.h"
adder::adder(sc_module_name name)
: sc_module(name), socket("socket")
{
socket.register_b_transport(this, &adder::b_transport);
socket.register_transport_dbg(this, &adder::transport_dbg);
}
void adder::b_transport(tlm::tlm_generic_payload& trans, sc_time& delay)
{
tlm::tlm_command cmd = trans.get_command();
sc_dt::uint64   addr = trans.get_address();
uint32_t    *ptr = (uint32_t*)trans.get_data_ptr();
unsigned int    len = trans.get_data_length();
unsigned char   *byt = trans.get_byte_enable_ptr();
unsigned int    wid = trans.get_streaming_width();
addend1 = *ptr;
addend2 = *(ptr++);
add();
memcpy(ptr + sizeof(uint32_t) * 2, (char*) &sum, sizeof(uint32_t));
}
unsigned int adder::transport_dbg(tlm::tlm_generic_payload& trans)
{
return 0;
}
void adder::add()
{
sum = addend1 + addend2;
}

这是我在编译时看到的错误。

在文件中包含自/home/epi/jfrye_xilinx/SystemC/systemc-2.3.2/include/sysc/kernel/sc_module.h:35:0, 来自/home/epi/jfrye_xilinx/SystemC/systemc-2.3.2/include/systemc:74, 来自/home/epi/jfrye_xilinx/SystemC/systemc-2.3.2/include/tlm:23, 来自/home/epi/jfrye_xilinx/SystemC/systemc-2.3.2/include/tlm_utils/simple_initiator_socket.h:23, 来自/home/test_benches/adder/test_bench.cc:3:/home/test_benches/adder/test_bench.cc:

在构造函数 'test_bench::test_bench(sc_core::sc_module_name)' 中:/home/epi/jfrye_xilinx/SystemC/systemc-2.3.2/include/sysc/kernel/sc_module.h:463:29: 错误:"SC_CURRENT_USER_MODULE"尚未声明 SC_CURRENT_USER_MODULE, \

/home/epi/jfrye_xilinx/SystemC/systemc-2.3.2/include/sysc/kernel/sc_process.h:151:46:注意:在宏"SC_MAKE_FUNC_PTR"的定义中 static_cast(&callback_tag::func)

/home/epi/jfrye_xilinx/SystemC/systemc-2.3.2/include/sysc/kernel/sc_module.h:461:5: 注意:在宏"declare_thread_process"的扩展中 declare_thread_process( func ## _handle, \

/home/test_benches/adder/test_bench.cc:17:2:注意:在扩展

宏"SC_THREAD"SC_THREAD(run_tests);

做:*** [/home//test_benches/adder/obj/test_bench.o] 错误 1

我最好的猜测是我没有正确设置套接字。测试台有一个simple_initiator_socket,加法器有一个simple_target_socket。是否需要使用模块的b_transport方法注册simple_target_socket?我在启动器中这样做了,但在下面的教程中,我没有看到目标这样做的要求。我的猜测是数据流是这样的:

  1. simple_initiator_socket(test_bench成员)注册b_transport模块的方法和另一个模块的simple_target_socket(在顶部模块中)
  2. 启动器模块 (test_bench) 使用需要发送到目标 (adder) 的数据来设置tlm_generic_payload
  3. b_transportsimple_initiator_socket(test_bench的成员)的方法,通过传递tlm_generic_payload(带有 adder 的加法)
  4. 目标
  5. 套接字(目标)接收并解码传递tlm_generic_payload(加法值)。
  6. 目标套接字 (adder) 执行操作(添加解码的加法)并修改tlm_generic_payload(按值传递)(通过将计算的总和写回有效负载内存)
  7. 发起方(test_bench)查看修改后的tlm_generic_payload(现在包含总和)并执行一些过程(检查理论总和)

我试图效仿这个例子。

https://www.doulos.com/knowhow/systemc/tlm2/tutorial__1/

更新

test_bench.h

class test_bench:
public sc_core::sc_module
{
public: 
tlm_utils::simple_initiator_socket<test_bench> socket;
sc_out<bool> irq;
test_bench(sc_core::sc_module_name name);
void run_tests();
private:
uint32_t data[3];
};

有两种方法可以在 SystemC 中声明模块。

第一个是通过使用SC_MODULE宏:

SC_MODULE(mymodule) {
SC_CTOR(mymodule)
{
}
};

第二个没有它:

class mymodule : public sc_core::sc_module {
SC_HAS_PROCESS(mymodule);
public:
mymodule(sc_core::sc_module_name)
{ }
};

我更喜欢第二个,因为:

  • 它尽可能避免那些讨厌的宏。
  • 它允许您从另一个模块继承。

现在为什么你需要SC_MODULESC_HAS_PROCESS宏。原因是宏SC_METHODSC_THREAD需要知道它们用于完成工作的模块类型。由于 SystemC 基于 1998 年发布的C++语言的旧修订版,因此无法自动执行此操作。因此,帮助程序宏SC_HAS_PROCESS定义为:

#define SC_HAS_PROCESS(user_module_name) typedef user_module_name SC_CURRENT_USER_MODULE

这允许SC_METHODSC_THREAD使用SC_CURRENT_USER_MODULE作为他们正在使用的模块的同义词。宏SC_MODULE已经在幕后使用了SC_HAS_PROCESS


另一个建议 - 如果您使用的是兼容C++11的编译器,则可以为自己声明一个帮助程序宏:

#define DECL(name, ...) name{#name, __VA_ARGS__}

这可以帮助您声明命名对象,而无需键入其名称两次:

sc_in<bool> DECL(clk);

任何提及此端口的错误消息都包含其正确名称。

也可以在构造函数中用于初始化成员字段:

mymodule(sc_core::sc_module_name)
: DECL(clk)
{
}