开始使用FPGA开发

Getting started with FPGA development

本文关键字:开发 FPGA 开始      更新时间:2023-10-16

我想获得一个FPGA开发板,目标是模拟CD-ROM驱动器。我的问题是:

  • 是否有任何fpga允许在C/c++中编写代码而不是VHDL?
  • 如果你必须推荐一个板,你会推荐哪一个?
  • 你推荐买哪本书?
  • 还有什么我应该知道的吗?

A)直接编写c++代码是没有意义的,但是你可以模拟一个处理器并为它编写C/c++。我已经做到了。我会推荐一套ALTERA的入门套件,不要为初学者买太贵的东西。

B) http://www.altera.com/products/devkits/altera/kit-cyc2-2C20N.html这是一个很好的例子。我已经在上面实现了一个dsp,使用c。

Altera有NIOS CPU,你可以实现。这不是一件容易的事。您至少需要基本的VHDL知识才能做到这一点。

C) http://www.amazon.com/Designing-FPGAs-CPLDs-Bob-Zeidman/dp/1578201128涵盖了基础知识。

D)你应该了解数字架构。C/c++与VHDL有很大的不同,除非你知道核心组件是如何工作的,否则你将无法收获FPGA的真正力量。加法器,ALU, MUX, DEMUX,乘法器等是任何数字电路的组成部分。您需要知道如何将它们组合起来,以便并行化您的解决方案并利用硬件。

A)作为一种替代方法,有一些类似c的语言可以编译成VHDL或Verilog。参见维基百科上的C到HDL详细信息。

这种语言的编译器通常为您的特定"程序"生成定制的电路设计。例如,如果你想计算a*b+c*d+e*f,你可能会得到一个包含三个乘法器和两个加法器的电路,并且可以在每个循环中对不同的元组(a,b,c,d,e,f)运行该计算,有点像管道。

我想这取决于你想做什么。对于低级应用程序,CPU比定制电路慢,但它肯定更容易编程。

D)我同意FailedDev的观点。您应该从基础开始,使用VHDL或Verilog并点亮一些led(例如,实现二进制计数器)。