位矢量和位

Bit vector and bitset

本文关键字:      更新时间:2023-10-16

stl的比特矢量和比特集容器之间有什么区别?请解释。对我的理解,bitset是BitVector概念的实施是我是对还是错?实现位向量的其他方法是什么?

bit_vector具有与std::vector相同的接口,并且针对空格进行了优化。它不是标准C 的一部分。该文档声称它接近STL vector<bool>,大概与标准C std::vector<bool>

非常接近

std :: bitset是固定尺寸,并且具有不同的接口。

它们与众不同。std::bitset在编译时已知固定尺寸。这使其可以非常有效地实现。一个位向量(我认为您的意思是std::vector<bool>)的大小可能会像其他任何std::vector一样变化。

相关文章:
  • 没有找到相关文章